Please wait a minute...
Frontiers of Chemical Science and Engineering

ISSN 2095-0179

ISSN 2095-0187(Online)

CN 11-5981/TQ

Postal Subscription Code 80-969

2018 Impact Factor: 2.809

Front. Chem. Sci. Eng.    2019, Vol. 13 Issue (3) : 511-516    https://doi.org/10.1007/s11705-019-1820-5
RESEARCH ARTICLE
Low-k integration: Gas screening for cryogenic etching and plasma damage mitigation
Romain Chanson1(), Remi Dussart2, Thomas Tillocher2, P. Lefaucheux2, Christian Dussarrat3, Jean François de Marneffe1
1. IMEC v.z.w., 3001 Leuven, Belgium
2. GREMI/University of Orleans, Orleans, France
3. Air Liquide Laboratories, Tsukuba, Japan
 Download: PDF(392 KB)   HTML
 Export: BibTeX | EndNote | Reference Manager | ProCite | RefWorks
Abstract

The integration of porous organo-silicate low-k materials has met a lot of technical challenges. One of the main issues is plasma-induced damage, occurring for all plasma steps involved during interconnects processing. In the present paper, we focus on porous SiOCH low-k damage mitigation using cryogenic temperature so as to enable micro-capillary condensation. The aim is to protect the porous low-k from plasma-induced damage and keep the k-value of the material unchanged, in order to limit the RC delay of interconnexion levels while shrinking the microchip dimension. The cryogenic temperature is used to condense a gas inside the porous low-k material. Then, the etching process is performed at the temperature of condensation in order to keep the condensate trapped inside the material during the etching. In the first part of this work, the condensation properties of several gases are screened, leading to a down selection of five gases. Then, their stability into the porous structure is evaluated at different temperature. Four of them are used for plasma damage mitigation comparison. Damage mitigation is effective and shows negligible damage for one of the gases at –50°C.

Keywords low-k      nanotechnology      micro-electronics      cryo-etching      plasma processing     
Corresponding Author(s): Romain Chanson   
Just Accepted Date: 28 May 2019   Online First Date: 25 July 2019    Issue Date: 22 August 2019
 Cite this article:   
Romain Chanson,Remi Dussart,Thomas Tillocher, et al. Low-k integration: Gas screening for cryogenic etching and plasma damage mitigation[J]. Front. Chem. Sci. Eng., 2019, 13(3): 511-516.
 URL:  
https://academic.hep.com.cn/fcse/EN/10.1007/s11705-019-1820-5
https://academic.hep.com.cn/fcse/EN/Y2019/V13/I3/511
Fig.1  Correlation of the evolution of ΔT, the difference between condensation temperature of different gases into SOG-2.2 and the temperature associated to the partial pressure of a gas, and contact angle of the different molecules on OSG-2.55
Fig.2  Stability of (a) HBPO and Sumida, (b) Mikado and Akita. Full symbol is the RI after condensation and before pumping out of gas, open symbols show the RI 5 min after pumping out the reagent
Fig.3  Full symbols: Average etch rate measured as a function of the substrate temperature with the different reagents. Open symbols correspond to the damage depth estimated by the method of EDL, plasma conditions were Q(SF6/X) = 30/4 sccm, p = 22.5 mTorr. Prf = 500 W, PDC = 150 W bias. The temperature is indicated in the figure (X still represents one of the reagent)
Fig.4  k-value of the SOG-2.2 films after etching at different temperatures with the different reagents
Reagent Condensation temperature/°C SA/°C Etch rate/nm·min–1 a) EDL/nm b) k value c)
HBPO –20 20 (–35 → –50) 78 0 2.55
Sumida –40 10 (–50 → –60) 58 13 2.71
Mikado –30 5 (–45 → –50) 55 19 2.59
Akita –50 0–5 (–65 → –70) 96 29 3.24
Tab.1  Bests reagents found for plasma etching damage mitigation in SOG-2.2 as low-k (average pores Ø = 2.8 nm)
1 K Maex, M R Baklanov, D Shamiryan, F Lacopi, S H Brongersma, Z S Yanovitskaya. Low dielectric constant materials for microelectronics. Journal of Applied Physics, 2003, 93(11): 8793–8843
https://doi.org/10.1063/1.1567460
2 M R Baklanov, S Vanhaelemeersch, H Bender, K Maex. Effect of oxygen and fluorine on the dry etch characteristics of organic low-k dielectrics. Journal of Vacuum Science & Technology B, 1999, 17(2): 372–380
https://doi.org/10.1116/1.590660
3 M R Baklanov, K P Mogilnikov, Q T Le. Quantification of processing damage in porous low dielectric constant films. Microelectronic Engineering, 2006, 83(11-12): 2287–2291
https://doi.org/10.1016/j.mee.2006.10.019
4 D Shamiryan, M R Baklanov, S Vanhaelemeersch, K Maex. Comparative study of SiOCH low-k films with varied porosity interacting with etching and cleaning plasma. Journal of Vacuum Science & Technology B, 2002, 20(5): 1922–1929
https://doi.org/10.1116/1.1502699
5 M Lepinay, D Lee, R Scarazzini, M Bardet, M Veillerot, L Broussous, C Licitra, V Jousseaume, F Bertin, V Rouessac, A Ayral. Impact of plasma reactive ion etching on low dielectric constant porous organosilicate films’ microstructure and chemical composition. Microporous and Mesoporous Materials, 2016, 228: 297–304
https://doi.org/10.1016/j.micromeso.2016.04.004
6 A Humbert, L Mage, C Coldberg, K Junker, L Proenca, J B Lhuillier. Effect of plasma treatment on ultra low-k material properties. Microelectronic Engineering, 2005, 82(3-4): 399–404
https://doi.org/10.1016/j.mee.2005.07.022
7 H Ren, G A Antonelli, Y Nishi, J L Shohet. Plasma damage effects on low-k porous organosilicate glass. Journal of Applied Physics, 2010, 108(9): 094110
https://doi.org/10.1063/1.3506523
8 E Kunnen, M R Baklanov, A Franquet, D Shamiryan, T K Rakhimova, A M Urbanowicz, H Struyf, W Boullart. Effect of energetic ions on plasma damage of porous SIOCH low-k materials. Journal of Vacuum Science & Technology B, 2010, 28: 448–459
9 S K Singh, A A Kumbhar, R O Dusane. Repairing plasma-damaged low-k HSQ films with trimethylchlorosilane treatment. Materials Science and Engineering B, 2005, 127(1): 29–33
https://doi.org/10.1016/j.mseb.2005.09.042
10 H Shi, J Bao, H Huang, P S Ho, M D Goodner, M Moinpour, G-M Kloster. Effect of CH4 plasma treatment on O2 plasma ashed organosilicate low-k dielectrics. Matererial Research Society Proceeding B, 2007, 990: 51–56
11 T Frot, W Volksen, T Magbitang, D C Miller, S Purushothaman, M Lofaro, R Bruce, G Dubois. Post porosity plasma protection a new approach to integrate k ≤2.2 porous ULK materials. In: IEEE International Interconnect Technology Conference, 2011. New York: IEEE, 2011
https://doi.org/10.1109/IITC.2011.5940272
12 T Frot, W Volksen, S Purushothaman, R Bruce, G Dubois. Application of the protection/deprotection strategy to the science of porous materials. Advanced Materials, 2011, 23(25): 2828–2832
https://doi.org/10.1002/adma.201100569
13 F Leroy, L Zhang, T Tillocher, K Yatsuda, K Maekawa, E Nishimura, P Lefaucheux, J F de Marneffe, M R Baklanov, R Dussart. Cryogenic etching processes applied to porous low-k materials using SF6/C4F8 plasmas. Journal Physics D, 2015, 48(43): 435202
https://doi.org/10.1088/0022-3727/48/43/435202
14 L Zhang, J F de Marneffe, F Leroy, P Lefaucheux, T Tillocher, R Dussart, K Maekawa, K Yatsuda, C Dussarrat, A Goodyear, M Cooke, S De Gendt, M R Baklanov. Mitigation of plasma-induced damage in porous low-k dielectrics by cryogenic precursor condensation. Journal Physics D, 2016, 49(17): 175203
https://doi.org/10.1088/0022-3727/49/17/175203
15 L Zhang, R Ljazouli, P Lefaucheux, T Tillocher, R Dussart, Y A Mankelevich, J F de Marneffe, S De Gendt, M R Baklanov. Damage free cryogenic etching of a porous organosilica ultralow-k film. ECS Solid State Letters, 2013, 2(5-N): 7
16 A Rezvanov, L Zhang, M Watanabe, M B Krishtab, L Zhang, N Hacker, P Verdonck, S Armini, J F de Marneffe. Pore surface grafting of porous low-k dielectrics by selective polymers. Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, 2017, 35: 021211
17 R Chanson, L Zhang, S Naumov, A Mankelevich Yu, T Tillocher, P Lefaucheux, R Dussart, S De Gendt, J F De Marneffe. Damage-free plasma etching of porous organo-silicate low-k using micro-capillary condensation above -50°C. Scientific Reports, 2018, 8(1): 1886)
https://doi.org/10.1038/s41598-018-20099-5
18 R Chanson, S Tahara, K Vanstreels, J F de Marneffe. Low damage ultra-low-k patterning using a high boiling point organic (HBPO) combined with NF3. Plasma Research Express, 2018, 1(1): 015006
https://doi.org/10.1088/2516-1067/aad92e
19 M Darnon, N Casiez, T Chevolleau, G Dubois, W Volksen, T J Frot, R Hurand, T L David, N Posseme, N Rochat, et al. Impact of low-k structure and porosity on etch processes. Journal of Vacuum Science & Technology B, 2013, 31: 011207
https://doi.org/10.1116/1.4770505
20 A Zotovich, A Rezvanov, R Chanson, L Zhang, N Hacker, K Kurchikov, S Klimin, S M Zyryanov, D Lopaev, E Gornev, et al. Low-k protection from F radicals and VUV photons using a multilayer pore grafting approach. Journal of physic D, 2018, 51: 325202
[1] Andrea P. Reverberi, P.S. Varbanov, M. Vocciante, B. Fabiano. Bismuth oxide-related photocatalysts in green nanotechnology: A critical analysis[J]. Front. Chem. Sci. Eng., 2018, 12(4): 878-892.
[2] Tzu-Lan CHANG, Honglei ZHAN, Danni LIANG, Jun F. LIANG. Nanocrystal technology for drug formulation and delivery[J]. Front. Chem. Sci. Eng., 2015, 9(1): 1-14.
[3] Yeonhee YUN,Byung Kook LEE,Kinam PARK. Controlled drug delivery systems: the next 30 years[J]. Front. Chem. Sci. Eng., 2014, 8(3): 276-279.
[4] Yuwei WANG,David W. Grainger. Barriers to advancing nanotechnology to better improve and translate nanomedicines[J]. Front. Chem. Sci. Eng., 2014, 8(3): 265-275.
Viewed
Full text


Abstract

Cited

  Shared   
  Discussed