Please wait a minute...
Frontiers of Mechanical Engineering

ISSN 2095-0233

ISSN 2095-0241(Online)

CN 11-5984/TH

Postal Subscription Code 80-975

2018 Impact Factor: 0.989

Front. Mech. Eng.    2017, Vol. 12 Issue (1) : 99-109    https://doi.org/10.1007/s11465-017-0416-3
REVIEW ARTICLE
A review of the scalable nano-manufacturing technology for flexible devices
Wenbin HUANG1,Xingtao YU2,Yanhua LIU1,Wen QIAO1(),Linsen CHEN1
1. College of Physics, Optoelectronics and Energy & Collaborative Innovation Center of Suzhou Nano Science and Technology, Soochow University, Suzhou 215006, China; Key Lab of Advanced Optical Manufacturing Technologies of Jiangsu Province & Key Lab of Modern Optical Technologies of Education Ministry of China, Soochow University, Suzhou 215006, China
2. College of Physics, Optoelectronics and Energy & Collaborative Innovation Center of Suzhou Nano Science and Technology, Soochow University, Suzhou 215006, China
 Download: PDF(477 KB)   HTML
 Export: BibTeX | EndNote | Reference Manager | ProCite | RefWorks
Abstract

Recent advances in electronic and photonic devices, such as artificial skin, wearable systems, organic and inorganic light-emitting diodes, have gained considerable commercial and scientific interest in the academe and in industries. However, low-cost and high-throughput nano-manufacturing is difficult to realize with the use of traditional photolithographic processes. In this review, we summarize the status and the limitations of current nano-patterning techniques for scalable and flexible functional devices in terms of working principle, resolution, and processing speed. Finally, several remaining unsolved problems in nano-manufacturing are discussed, and future research directions are highlighted.

Keywords flexible nano-manufacturing      flexible devices      nanofabrication      scalability     
Corresponding Author(s): Wen QIAO   
Just Accepted Date: 14 December 2016   Online First Date: 09 January 2017    Issue Date: 21 March 2017
 Cite this article:   
Wenbin HUANG,Xingtao YU,Yanhua LIU, et al. A review of the scalable nano-manufacturing technology for flexible devices[J]. Front. Mech. Eng., 2017, 12(1): 99-109.
 URL:  
https://academic.hep.com.cn/fme/EN/10.1007/s11465-017-0416-3
https://academic.hep.com.cn/fme/EN/Y2017/V12/I1/99
Nano-manufacturing technologies Material compatibility Resolution Throughput Equipment cost
Laser direct writing (LDW) [9] Photoresist or deposition with precursor or ablation of absorbent materials Low λ High
0.2 m2/s
Medium
Tens or hundreds of thousands of dollars
Dot-matrix holography [1921] Photoresist Medium λ 4 Medium
0.002 m2/s
Medium
Tens or hundreds of thousands of dollars
Interference lithography (IL) [6,22,23] Photoresist or deposition with precursor or direct ablation of absorbent materials Medium λ 4 Low
Minutes/wafer (determined by the interference area)
Medium
Tens or hundreds of thousands of dollars
Scanning probe lithography (SPN) [24] Material modification, removal and deposition 5 nm Low
10-4 cm2/h
Medium
Tens or hundreds of thousands of dollars
Directed self-assembly (DSA) [7,2529] Block copolymers High
11 nm
Low
Small areas (cm2) in hours in parallel
Extremely low
No sophisticated equipment is required
Nano-imprinting Lithography [3035] Substrates with suitable surface energy Depending on the mold Extremely high 1 m2/s Medium
Tens or hundreds of thousands of dollars
Tab.1  Summary of nano-manufacturing technologies
Fig.1  (a) LDW system based on spot writing; (b) LDW system based on (spatial light modulator) SLM; (c) micro-patterns developed by a homemade LDW system for (c) hexagonal pattern for transparency conductive film, (d) one-dimensional grating, (e) micro-lens array, and (f) pillars
Fig.2  (a) The Lloyd’s mirror configuration of IL and the two-beam configuration of IL; SEM images of periodic patterns fabricated by IL: (b) One-dimensional (1D) gratings reprinted from Ref. [46] with permission from Optical Society of America; square and hexagonal lattice patterns fabricated by rotating the sample (c) 90º and (d) 60º between exposures, respectively reprinted from Ref. [47] with permission from Optical Society of America
Fig.3  Schematic illustrations of (a) STML and (b) AFML; (c) SEM micrograph of the high-density L shapes by thermal AFML reprinted from Ref. [52] with permission from American Chemical Society
Fig.4  (a) Graphoepitaxy and (b) Epitaxial self-assembly reprinted from Ref. [56] with permission from American Chemical Society; (c) PS-b-PMMA forming patterns with a width of 30 nm by graphoepitaxy reprinted from Ref. [57] with permission from American Chemical Society; (d) density multiplied pattern by epitaxial self-assembly with a pitch of 27 nm reprinted from Ref. [29] with permission from American Chemical Society
Fig.5  Body-force-driven nano-imprinting. (a) The schematic principle of thermal imprinting and UV imprinting; (b) example of a typical UV roller NIL setup; (c) SEM image of the R2R patterned grating structure reprinted from Ref. [34] with permission from American Chemical Society
Fig.6  Electrostatic-force-assisted nano-imprinting (EFAN). (a) Schematics of the EFAN principle; (b) the observations of the EFAN process at typical states; (c) SEM images of the nanopatterns by EFAN reprinted from Ref. [69] with permission from American Chemical Society
Fig.7  Schematics of nano-imprinting variations based on electrically surface force. (a) Electrocapillary-force driven nano-imprinting; (b) electrowetting-assisted transfer micromolding; (c) Maxwell tension-induced microstructuring based on pre-patterned polymer reprinted from Ref. [73] with permission from American Chemical Society
1 Fan X, Zhang H, Liu S, . NIL—A low-cost and high-throughput MEMS fabrication method compatible with IC manufacturing technology. Microelectronics Journal, 2006, 37(2): 121–126
https://doi.org/10.1016/j.mejo.2005.04.047
2 Yu Z, Duong B, Abbitt D, . Highly ordered MnO2 nanopillars for enhanced supercapacitor performance. Advanced Materials, 2013, 25(24): 3302–3306
https://doi.org/10.1002/adma.201300572
3 Guo L J. Nanoimprint lithography: Methods and material requirements. Advanced Materials, 2007, 19(4): 495–513
https://doi.org/10.1002/adma.200600882
4 Gates B D, Xu Q, Stewart M, . New approaches to nanofabrication: molding, printing, and other techniques. Chemical Reviews, 2005, 105(4): 1171–1196
https://doi.org/10.1021/cr030076o
5 Guo L J. Recent progress in nanoimprint technology and its applications. Journal of Physics D: Applied Physics, 2004, 37(11): R123–R141
https://doi.org/10.1088/0022-3727/37/11/R01
6 Kazemi A, He X, Alaie S, . Large-area semiconducting graphene nanomesh tailored by interferometric lithography. Scientific Reports, 2015, 5: 11463
https://doi.org/10.1038/srep11463
7 Checco A, Rahman A, Black C T. Robust superhydrophobicity in large-area nanostructured surfaces defined by block-copolymer self assembly. Advanced Materials, 2014, 26(6): 886–891
https://doi.org/10.1002/adma.201304006
8 Gale M T, Rossi M, Pedersen J, . Fabrication of continuous-relief micro-optical elements by direct laser writing in photoresists. Optical Engineering, 1994, 33(11): 3556–3566
https://doi.org/10.1117/12.179892
9 Hon K, Li L, Hutchings I. Direct writing technology—Advances and developments. CIRP Annals—Manufacturing Technology, 2008, 57(2): 601–620
https://doi.org/10.1016/j.cirp.2008.09.006
10 Biswas A, Bayer I S, Biris A S, . Advances in top-down and bottom-up surface nanofabrication: Techniques, applications & future prospects. Advances in Colloid and Interface Science, 2012, 170(1‒2): 2–27
https://doi.org/10.1016/j.cis.2011.11.001
11 Gratton S E A, Williams S S, Napier M E, . The pursuit of a scalable nanofabrication platform for use in material and life science applications. Accounts of Chemical Research, 2008, 41(12): 1685–1695
https://doi.org/10.1021/ar8000348
12 Tseng A A, Jou S, Notargiacomo A, . Recent developments in tip-based nanofabrication and its roadmap. Journal of Nanoscience and Nanotechnology, 2008, 8(5): 2167–2186
https://doi.org/10.1166/jnn.2008.243
13 Supran G J, Shirasaki Y, Song K W, . QLEDs for displays and solid-state lighting. MRS Bulletin, 2013, 38(09): 703–711
https://doi.org/10.1557/mrs.2013.181
14 Lim S K, Perrier S, Neto C. Patterned chemisorption of proteins by thin polymer film dewetting. Soft Matter, 2013, 9(9): 2598–2602
https://doi.org/10.1039/c3sm27241a
15 Benor A, Hoppe A, Wagner V, . Microcontact printing and selective surface dewetting for large area electronic applications. Thin Solid Films, 2007, 515(19): 7679–7682
https://doi.org/10.1016/j.tsf.2006.11.178
16 Gout S, Coulm J, Léonard D, . Silver localization on polyimide using microcontact printing and electroless metallization. Applied Surface Science, 2014, 307: 716–723
https://doi.org/10.1016/j.apsusc.2014.04.108
17 Mondin G, Schumm B, Fritsch J, . Fabrication of micro-and submicrometer silver patterns by microcontact printing of mercaptosilanes and direct electroless metallization. Microelectronic Engineering, 2013, 104: 100–104
https://doi.org/10.1016/j.mee.2012.11.022
18 King E, Xia Y, Zhao X M, . Solvent-assisted microcontact molding: A convenient method for fabricating three-dimensional structures on surfaces of polymers. Advanced Materials, 1997, 9(8): 651–654
https://doi.org/10.1002/adma.19970090814
19 Wan W, Qiao W, Huang W, . Efficient fabrication method of nano-grating for 3D holographic display with full parallax views. Optics Express, 2016, 24(6): 6203–6212
https://doi.org/10.1364/OE.24.006203
20 Park S R, Kwon O J, Shin D, . Grating micro-dot patterned light guide plates for LED backlights. Optics Express, 2007, 15(6): 2888–2899
https://doi.org/10.1364/OE.15.002888
21 Lee C K, Wu J W J, Yeh S L, . Optical configuration and color-representation range of a variable-pitch dot matrix holographic printer. Applied Optics, 2000, 39(1): 40–53
https://doi.org/10.1364/AO.39.000040
22 Lu C, Lipson R. Interference lithography: A powerful tool for fabricating periodic structures. Laser & Photonics Reviews, 2010, 4(4): 568–580
https://doi.org/10.1002/lpor.200810061
23 Brueck S. Optical and interferometric lithography-Nanotechnology enablers. Proceedings of the IEEE, 2005, 93(10): 1704–1721
https://doi.org/10.1109/JPROC.2005.853538
24 Ouk Kim S, Solak H H, Stoykovich M P, . Epitaxial self-assembly of block copolymers on lithographically defined nanopatterned substrates. Nature, 2003, 424(6947): 411–414
https://doi.org/10.1038/nature01775
25 Garcia R, Knoll A W, Riedo E. Advanced scanning probe lithography. Nature Nanotechnology, 2014, 9(8): 577–587
https://doi.org/10.1038/nnano.2014.157
26 Bates C M, Maher M J, Janes D W, . Block copolymer lithography. Macromolecules, 2014, 47(1): 2–12
https://doi.org/10.1021/ma401762n
27 Hawker C J, Russell T P. Block copolymer lithography: Merging “bottom-up” with “top-down” processes. MRS Bulletin, 2005, 30(12): 952–966
https://doi.org/10.1557/mrs2005.249
28 Kim H C, Park S M, Hinsberg W D. Block copolymer based nanostructures: Materials, processes, and applications to electronics. Chemical Reviews, 2010, 110(1): 146–177
https://doi.org/10.1021/cr900159v
29 Wan L, Ruiz R, Gao H, . The limits of lamellae-forming PS-b-PMMA block copolymers for lithography. ACS Nano, 2015, 9(7): 7506–7514
https://doi.org/10.1021/acsnano.5b02613
30 Bae S, Kim H, Lee Y, . Roll-to-roll production of 30-inch graphene films for transparent electrodes. Nature Nanotechnology, 2010, 5(8): 574–578
https://doi.org/10.1038/nnano.2010.132
31 Kooy N, Mohamed K, Pin L T, . A review of roll-to-roll nanoimprint lithography. Nanoscale Research Letters, 2014, 9(1): 320
https://doi.org/10.1186/1556-276X-9-320
32 Yoshikawa H, Taniguchi J, Tazaki G, . Fabrication of high-aspect-ratio pattern via high throughput roll-to-roll ultraviolet nanoimprint lithography. Microelectronic Engineering, 2013, 112: 273–277
https://doi.org/10.1016/j.mee.2013.03.117
33 Ahn S H, Guo L J. High‐speed roll‐to‐roll nanoimprint lithography on flexible plastic substrates. Advanced Materials, 2008, 20(11): 2044–2049
https://doi.org/10.1002/adma.200702650
34 Ahn S H, Guo L J. Large-area roll-to-roll and roll-to-plate nanoimprint lithography: A step toward high-throughput application of continuous nanoimprinting. ACS Nano, 2009, 3(8): 2304–2310
https://doi.org/10.1021/nn9003633
35 Ok J G, Seok Youn H, Kyu Kwak M, . Continuous and scalable fabrication of flexible metamaterial films via roll-to-roll nanoimprint process for broadband plasmonic infrared filters. Applied Physics Letters, 2012, 101(22): 223102
https://doi.org/10.1063/1.4767995
36 Ruiz R, Kang H, Detcheverry F A, . Density multiplication and improved lithography by directed block copolymer assembly. Science, 2008, 321(5891): 936–939
https://doi.org/10.1126/science.1157626
37 Seltmann R, Doleschal W, Gehner A, . New system for fast submicron optical direct writing. Microelectronic Engineering, 1996, 30(1–4): 123–127
https://doi.org/10.1016/0167-9317(95)00209-X
38 Zhang A P, Qu X, Soman P, . Rapid fabrication of complex 3D extracellular microenvironments by dynamic optical projection stereolithography. Advanced Materials, 2012, 24(31): 4266–4270
https://doi.org/10.1002/adma.201202024
39 Scholder O, Jefimovs K, Shorubalko I, . Helium focused ion beam fabricated plasmonic antennas with sub-5 nm gaps. Nanotechnology, 2013, 24(39): 395301
https://doi.org/10.1088/0957-4484/24/39/395301
40 Tseng A A. Recent developments in nanofabrication using focused ion beams. Small, 2005, 1(10): 924–939
https://doi.org/10.1002/smll.200500113
41 Utke I, Moshkalev S, Russell P. Nanofabrication Using Focused Ion and Electron Beams: Principles and Applications. New York: Oxford University Press, 2012
42 Watt F, Bettiol A, Van Kan J, . Ion beam lithography and nanofabrication: A review. International Journal of Nanoscience, 2005, 04(03): 269–286
https://doi.org/10.1142/S0219581X05003139
43 Piqué A, Chrisey D, Auyeung R, . A novel laser transfer process for direct writing of electronic and sensor materials. Applied Physics. A: Materials Science & Processing, 1999, 69(7): S279–S284
https://doi.org/10.1007/s003390051400
44 Shir D, Liao H, Jeon S, . Three-dimensional nanostructures formed by single step, two-photon exposures through elastomeric Penrose quasicrystal phase masks. Nano Letters, 2008, 8(8): 2236–2244
https://doi.org/10.1021/nl080841k
45 Singer J P, Lee J H, Kooi S E, . Rapid fabrication of 3D terahertz split ring resonator arrays by novel single-shot direct write focused proximity field nanopatterning. Optics Express, 2012, 20(10): 11097–11108
https://doi.org/10.1364/OE.20.011097
46 Bloomstein T, Marchant M F, Deneault S, . 22-nm immersion interference lithography. Optics Express, 2006, 14(14): 6434–6443
https://doi.org/10.1364/OE.14.006434
47 Quiñónez F, Menezes J, Cescato L, . Band gap of hexagonal 2D photonic crystals with elliptical holes recorded by interference lithography. Optics Express, 2006, 14(11): 4873–4879
https://doi.org/10.1364/OE.14.004873
48 Escuti M J, Crawford G P. Holographic photonic crystals. Optical Engineering, 2004, 43(9): 1973–1987
https://doi.org/10.1117/1.1773773
49 Lu Y T, Chi S. Compact, reliable asymmetric optical configuration for cost-effective fabrication of multiplex dot matrix hologram in anti-counterfeiting applications. Optik-International Journal for Light and Electron Optics, 2003, 114(4): 161–167
https://doi.org/10.1078/0030-4026-00241
50 Wan W, Huang W, Pu D, . High performance organic distributed Bragg reflector lasers fabricated by dot matrix holography. Optics Express, 2015, 23(25): 31926–31935
https://doi.org/10.1364/OE.23.031926
51 Tseng A A, Notargiacomo A, Chen T P. Nanofabrication by scanning probe microscope lithography: A review. Journal of Vacuum Science & Technology B, 2005, 23(3): 877
https://doi.org/10.1116/1.1926293
52 Cheong L L, Paul P, Holzner F, . Thermal probe maskless lithography for 27.5 nm half-pitch Si technology. Nano Letters, 2013, 13(9): 4485–4491
https://doi.org/10.1021/nl4024066
53 Kim B H, Kim J Y, Kim S O. Directed self-assembly of block copolymers for universal nanopatterning. Soft Matter, 2013, 9(10): 2780–2786
https://doi.org/10.1039/c2sm27535j
54 Gu W, Xu J, Kim J K, . Solvent-assisted directed self-assembly of spherical microdomain block copolymers to high areal density arrays. Advanced Materials, 2013, 25(27): 3677–3682
https://doi.org/10.1002/adma.201300899
55 Sivaniah E, Matsubara S, Zhao Y, . Symmetric diblock copolymer thin films on rough substrates: Microdomain periodicity in pure and blended films. Macromolecules, 2008, 41(7): 2584–2592
https://doi.org/10.1021/ma702465t
56 Jeong S J, Moon H S, Kim B H, . Ultralarge-area block copolymer lithography enabled by disposable photoresist prepatterning. ACS Nano, 2010, 4(9): 5181–5186
https://doi.org/10.1021/nn101212q
57 Jeong S J, Kim J E, Moon H S, . Soft graphoepitaxy of block copolymer assembly with disposable photoresist confinement. Nano Letters, 2009, 9(6): 2300–2305
https://doi.org/10.1021/nl9004833
58 Sun Z, Chen Z, Zhang W, . Directed self-assembly of poly (2-vinylpyridine)-b-polystyrene-b-poly (2-vinylpyridine) triblock copolymer with sub-15 nm spacing line patterns using a nanoimprinted photoresist template. Advanced Materials, 2015, 27(29): 4364–4370
https://doi.org/10.1002/adma.201501585
59 Cushen J, Wan L, Blachut G, . Double-patterned sidewall directed self-assembly and pattern transfer of sub-10 nm PTMSS-b-PMOST. ACS Applied Materials & Interfaces, 2015, 7(24): 13476–13483
https://doi.org/10.1021/acsami.5b02481
60 Chou S Y, Krauss P R, Renstrom P J. Imprint of sub‐25 nm vias and trenches in polymers. Applied Physics Letters, 1995, 67(21): 3114–3116
https://doi.org/10.1063/1.114851
61 Chou S Y, Krauss P R, Renstrom P J. Imprint lithography with 25-nanometer resolution. Science, 1996, 272(5258): 85–87
https://doi.org/10.1126/science.272.5258.85
62 Chou S Y, Krauss P R, Zhang W, . Sub-10 nm imprint lithography and applications. Journal of Vacuum Science & Technology B, 1997, 15(6): 2897–2904
https://doi.org/10.1116/1.589752
63 Lan H, Ding Y, Liu H, . Mold deformation in soft UV-nanoimprint lithography. Science in China Series E: Technological Sciences, 2009, 52(2): 294–302
https://doi.org/10.1007/s11431-008-0199-1
64 Ruchhoeft P, Colburn M, Choi B, . Patterning curved surfaces: Template generation by ion beam proximity lithography and relief transfer by step and flash imprint lithography. Journal of Vacuum Science & Technology B, 1999, 17(6): 2965–2969
https://doi.org/10.1116/1.590935
65 Resnick D, Dauksher W, Mancini D, . Imprint lithography for integrated circuit fabrication. Journal of Vacuum Science & Technology B, 2003, 21(6): 2624–2631
https://doi.org/10.1116/1.1618238
66 Dauksher W, Nordquist K, Mancini D, . Characterization of and imprint results using indium tin oxide-based step and flash imprint lithography templates. Journal of Vacuum Science & Technology B, 2002, 20(6): 2857–2861
https://doi.org/10.1116/1.1520575
67 Kim H J, Almanza‐Workman M, Garcia B, . Roll‐to‐roll manufacturing of electronics on flexible substrates using self‐aligned imprint lithography (SAIL). Journal of the Society for Information Display, 2009, 17(11): 963–970
https://doi.org/10.1889/JSID17.11.963
68 Sreenivasan S, McMackin I, Xu F, . Using reverse-tone bilayer etch in ultraviolet nanoimprint lithography. MICRO, 2005, 23(1): 37–44
69 Liang X, Zhang W, Li M, . Electrostatic force-assisted nanoimprint lithography (EFAN). Nano Letters, 2005, 5(3): 527–530
https://doi.org/10.1021/nl0480161
70 Hirai Y, Konishi T, Yoshikawa T, . Simulation and experimental study of polymer deformation in nanoimprint lithography. Journal of Vacuum Science & Technology B, 2004, 22(6): 3288–3293
https://doi.org/10.1116/1.1826058
71 Li X, Shao J, Tian H, . Fabrication of high-aspect-ratio microstructures using dielectrophoresis-electrocapillary forcedriven UV-imprinting. Journal of Micromechanics and Microengineering, 2011, 21(6): 065010
https://doi.org/10.1088/0960-1317/21/6/065010
72 Li X, Tian H, Wang C, . Electrowetting assisted air detrapping in transfer micromolding for difficult-to-mold microstructures. ACS Applied Materials & Interfaces, 2014, 6(15): 12737–12743
https://doi.org/10.1021/am502728n
73 Tian H, Shao J, Ding Y, . Electrohydrodynamic micro-/nanostructuring processes based on prepatterned polymer and prepatterned template. Macromolecules, 2014, 47(4): 1433–1438
https://doi.org/10.1021/ma402456u
Viewed
Full text


Abstract

Cited

  Shared   
  Discussed