Please wait a minute...
Frontiers of Optoelectronics

ISSN 2095-2759

ISSN 2095-2767(Online)

CN 10-1029/TN

Postal Subscription Code 80-976

Front. Optoelectron.    2022, Vol. 15 Issue (2) : 23    https://doi.org/10.1007/s12200-022-00025-4
REVIEW ARTICLE
Toward memristive in-memory computing: principles and applications
Han Bao1(), Houji Zhou1, Jiancong Li1, Huaizhi Pei1, Jing Tian1, Ling Yang1, Shengguang Ren1, Shaoqin Tong1, Yi Li1,2(), Yuhui He1,2, Jia Chen3, Yimao Cai4, Huaqiang Wu5, Qi Liu6, Qing Wan7, Xiangshui Miao1,2()
1. School of Integrated Circuits, School of Optical and Electronic Information, Wuhan National Laboratory for Optoelectronics, Optics Valley Laboratory, Huazhong University of Science and Technology, Wuhan 430074, China
2. Hubei Yangtze Memory Laboratories, Wuhan 430205, China
3. AI Chip Center for Emerging Smart Systems, InnoHK Centers, Hong Kong Science Park, Hong Kong, China
4. School of Integrated Circuits, Peking University, Beijing 100871, China
5. School of Integrated Circuits, Beijing National Research Center for Information Science and Technology (BNRist), Tsinghua University, Beijing 100084, China
6. Frontier Institute of Chip and System, Fudan University, Shanghai 200433, China
7. School of Electronic Science and Engineering, and Collaborative Innovation Centre of Advanced Microstructures, Nanjing University, Nanjing 210093, China
 Download: PDF(3722 KB)  
 Export: BibTeX | EndNote | Reference Manager | ProCite | RefWorks
Abstract

With the rapid growth of computer science and big data, the traditional von Neumann architecture suffers the aggravating data communication costs due to the separated structure of the processing units and memories. Memristive in-memory computing paradigm is considered as a prominent candidate to address these issues, and plentiful applications have been demonstrated and verified. These applications can be broadly categorized into two major types: soft computing that can tolerant uncertain and imprecise results, and hard computing that emphasizes explicit and precise numerical results for each task, leading to different requirements on the computational accuracies and the corresponding hardware solutions. In this review, we conduct a thorough survey of the recent advances of memristive in-memory computing applications, both on the soft computing type that focuses on artificial neural networks and other machine learning algorithms, and the hard computing type that includes scientific computing and digital image processing. At the end of the review, we discuss the remaining challenges and future opportunities of memristive in-memory computing in the incoming Artificial Intelligence of Things era.

Keywords Memristor      In-memory computing      Matrix–vector multiplication      Machine learning      Scientific computing      Digital image processing     
Corresponding Author(s): Han Bao,Yi Li,Xiangshui Miao   
Issue Date: 22 June 2022
 Cite this article:   
Han Bao,Houji Zhou,Jiancong Li, et al. Toward memristive in-memory computing: principles and applications[J]. Front. Optoelectron., 2022, 15(2): 23.
 URL:  
https://academic.hep.com.cn/foe/EN/10.1007/s12200-022-00025-4
https://academic.hep.com.cn/foe/EN/Y2022/V15/I2/23
1 M.I. Jordan,, T.M. Mitchell,: Machine learning: trends, perspectives, and prospects. Science 349(6245), 255–260 (2015)
https://doi.org/10.1126/science.aaa8415
2 A. Kuznetsova,, H. Rom,, N. Alldrin,, J. Uijlings,, I. Krasin,, J. Pont-Tuset,, S. Kamali,, S. Popov,, M. Malloci,, A. Kolesnikov,, T. Duerig,, V. Ferrari,: The open images dataset v4. Int. J. Comput. Vis. 128(7), 1956–1981 (2020)
https://doi.org/10.1007/s11263-020-01316-z
3 J. Deng,, W. Dong,, R. Socher,, L.J. Li,, K. Li,, L. Fei-Fei,: Imagenet: a large-scale hierarchical image database. In: Proceedings of 2009 IEEE Conference on Computer Vision and Pattern Recognition. IEEE, 248–255 (2009)
https://doi.org/10.1109/CVPR.2009.5206848
4 K. Simonyan,, A. Zisserman, Very deep convolutional networks for large-scale image recognition. arXiv preprint arXiv:14091556 (2014)
5 K. He,, X. Zhang,, S. Ren,, J. Sun, Deep residual learning for image recognition. In: Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition. IEEE, 770–778 (2016)
https://doi.org/10.1109/CVPR.2016.90
6 S.W. Keckler,, W.J. Dally,, B. Khailany,, M. Garland,, D. Glasco,: GPUs and the future of parallel computing. IEEE Micro 31(5), 7–17 (2011)
https://doi.org/10.1109/MM.2011.89
7 J.D. Owens,, M. Houston,, D. Luebke,, S. Green,, J.E. Stone,, J.C. Phillips,: GPU computing. Proc. IEEE 96(5), 879–899 (2008)
https://doi.org/10.1109/JPROC.2008.917757
8 O. Mutlu,, S. Ghose,, J. Gómez-Luna,, R. Ausavarungnirun,: Processing data where it makes sense: enabling in-memory computation. Microprocess. Microsyst. 67, 28–41 (2019)
https://doi.org/10.1016/j.micpro.2019.01.009
9 L.O. Chua,: How we predicted the memristor. Nat. Electron. 1(5), 322 (2018)
https://doi.org/10.1038/s41928-018-0074-4
10 R.S. Williams,: How we found the missing memristor. In: Tetzlaff, R. (ed.) Memristors and Memristive Systems, pp. 3–16. Springer, New York (2014)
https://doi.org/10.1007/978-1-4614-9068-5_1
11 L. Chua,: Memristor—the missing circuit element. IEEE Trans Circuit Theory 18(5), 507–519 (1971)
https://doi.org/10.1109/TCT.1971.1083337
12 D.B. Strukov,, G.S. Snider,, D.R. Stewart,, R.S. Williams,: The missing memristor found. Nature 453(7191), 80–83 (2008)
https://doi.org/10.1038/nature06932
13 M.A. Zidan,, J.P. Strachan,, W.D. Lu,: The future of electronics based on memristive systems. Nat. Electron. 1(1), 22–29 (2018)
https://doi.org/10.1038/s41928-017-0006-8
14 J. Lee,, W.D. Lu,: On-demand reconfiguration of nanomaterials: when electronics meets ionics. Adv. Mater. 30(1), 1702770 (2018)
https://doi.org/10.1002/adma.201702770
15 W. Sun,, B. Gao,, M. Chi,, Q. Xia,, J.J. Yang,, H. Qian,, H. Wu,: Understanding memristive switching via in situ characterization and device modeling. Nat. Commun. 10(1), 3453 (2019)
https://doi.org/10.1038/s41467-019-11411-6
16 L. Cheng,, Y. Li,, K.S. Yin,, S.Y. Hu,, Y.T. Su,, M.M. Jin,, Z.R. Wang,, T.C. Chang,, X.S. Miao,: Functional demonstration of a memristive arithmetic logic unit (MemALU) for in-memory computing. Adv. Func. Mater. 29(49), 1905660 (2019)
https://doi.org/10.1002/adfm.201905660
17 L. Yang,, L. Cheng,, Y. Li,, H. Li,, J. Li,, T.C. Chang,, X. Miao,: Cryptographic key generation and in situ encryption in one-transistor-one-resistor memristors for hardware security. Adv. Electron. Mater. 7(5), 2001182 (2021)
https://doi.org/10.1002/aelm.202001182
18 G. Karunaratne,, M. Le Gallo,, G. Cherubini,, L. Benini,, A. Rahimi,, A. Sebastian,: In-memory hyperdimensional computing. Nat. Electron. 3(6), 327–337 (2020)
https://doi.org/10.1038/s41928-020-0410-3
19 P. Junsangsri,, F. Lombardi,: A memristor-based TCAM (ternary content addressable memory) cell: design and evaluation. In: Proceedings of the Great Lakes Symposium on VLSI. ACM, 311–314 (2012)
https://doi.org/10.1145/2206781.2206857
20 C.E. Graves,, C. Li,, X. Sheng,, D. Miller,, J. Ignowski,, L. Kiyama,, J.P. Strachan,: In-memory computing with memristor content addressable memories for pattern matching. Adv. Mater. 32(37), e2003437 (2020)
https://doi.org/10.1002/adma.202003437
21 M. Hu,, C.E. Graves,, C. Li,, Y. Li,, N. Ge,, E. Montgomery,, N. Davila,, H. Jiang,, R.S. Williams,, J.J. Yang,, Q. Xia,, J.P. Strachan,: Memristor-based analog computation and neural network classification with a dot product engine. Adv. Mater. 30(9), 1705914 (2018)
https://doi.org/10.1002/adma.201705914
22 P. Yao,, H. Wu,, B. Gao,, S.B. Eryilmaz,, X. Huang,, W. Zhang,, Q. Zhang,, N. Deng,, L. Shi,, H.P. Wong,, H. Qian,: Face classification using electronic synapses. Nat. Commun. 8(1), 15199 (2017)
https://doi.org/10.1038/ncomms15199
23 A. Amirsoleimani,, F. Alibart,, V. Yon,, J. Xu,, M.R. Pazhouhandeh,, S. Ecoffey,, Y. Beilliard,, R. Genov,, D. Drouin,: In-memory vector-matrix multiplication in monolithic complementary metal–oxide–semiconductor-memristor integrated circuits: design choices, challenges, and perspectives. Adv. Intell. Syst. 2(11), 2000115 (2020)
https://doi.org/10.1002/aisy.202000115
24 Q. Xia,, J.J. Yang,: Memristive crossbar arrays for brain-inspired computing. Nat. Mater. 18(4), 309–323 (2019)
https://doi.org/10.1038/s41563-019-0291-x
25 B. Yan,, B. Li,, X. Qiao,, C.X. Xue,, M.F. Chang,, Y. Chen,, H. Li,: Resistive memory-based in-memory computing: from device and large-scale integration system perspectives. Adv. Intell. Syst. 1(7), 1900068 (2019)
https://doi.org/10.1002/aisy.201900068
26 T. Zhang,, K. Yang,, X. Xu,, Y. Cai,, Y. Yang,, R. Huang,: Memristive devices and networks for brain-inspired computing. Phys. Status Solidi (RRL) Rapid Res. Lett. 13(8), 1900029 (2019)
https://doi.org/10.1002/pssr.201900029
27 T. Shi,, R. Wang,, Z. Wu,, Y. Sun,, J. An,, Q. Liu,: A review of resistive switching devices: performance improvement, characterization, and applications. Small Struct. 2(4), 2000109 (2021)
https://doi.org/10.1002/sstr.202000109
28 J.M. Hung,, C.J. Jhang,, P.C. Wu,, Y.C. Chiu,, M.F. Chang,: Challenges and trends of nonvolatile in-memory-computation circuits for AI edge devices. IEEE Trans. Electron Devices 67(4), 1444–1453 (2020)
https://doi.org/10.1109/TED.2020.2976115
29 X. Guo,, F.M. Bayat,, M. Bavandpour,, M. Klachko,, M. Mahmoodi,, M. Prezioso,, K. Likharev,, D. Strukov: Fast, energy-efficient, robust, and reproducible mixed-signal neuromorphic classifier based on embedded NOR flash memory technology. In: Proceedings of 2017 IEEE International Electron Devices Meeting (IEDM). IEEE, 6.5.1–6.5.4 (2017)
https://doi.org/10.1109/IEDM.2017.8268341
30 S. Ambrogio,, P. Narayanan,, H. Tsai,, R.M. Shelby,, I. Boybat,, C. di Nolfo,, S. Sidler,, M. Giordano,, M. Bodini,, N.C.P. Farinha,, B. Killeen,, C. Cheng,, Y. Jaoudi,, G.W. Burr,: Equivalent-accuracy accelerated neural-network training using analogue memory. Nature 558(7708), 60–67 (2018)
https://doi.org/10.1038/s41586-018-0180-5
31 K. Ni,, X. Yin,, A.F. Laguna,, S. Joshi,, S. Duenkel,, M. Trentzsch,, J. Müller,, S. Beyer,, M. Niemier,, X.S. Hu,: Ferroelectric ternary content-addressable memory for one-shot learning. Nat. Electron. 2(11), 521–529 (2019)
https://doi.org/10.1038/s41928-019-0321-3
32 S. Jung,, H. Lee,, S. Myung,, H. Kim,, S.K. Yoon,, S.W. Kwon,, Y. Ju,, M. Kim,, W. Yi,, S. Han,, B. Kwon,, B. Seo,, K. Lee,, G.H. Koh,, K. Lee,, Y. Song,, C. Choi,, D. Ham,, S.J. Kim,: A crossbar array of magnetoresistive memory devices for in-memory computing. Nature 601(7892), 211–216 (2022)
https://doi.org/10.1038/s41586-021-04196-6
33 J. Chen,, J. Li,, Y. Li,, X. Miao,: Multiply accumulate operations in memristor crossbar arrays for analog computing. J. Semicond. 42(1), 013104 (2021)
https://doi.org/10.1088/1674-4926/42/1/013104
34 A. Sebastian,, M. Le Gallo,, R. Khaddam-Aljameh,, E. Eleftheriou,: Memory devices and applications for in-memory computing. Nat. Nanotechnol. 15(7), 529–544 (2020)
https://doi.org/10.1038/s41565-020-0655-z
35 Y.F. Qin,, H. Bao,, F. Wang,, J. Chen,, Y. Li,, X.S. Miao,: Recent progress on memristive convolutional neural networks for edge intelligence. Adv. Intell. Syst. 2(11), 2000114 (2020)
https://doi.org/10.1002/aisy.202000114
36 D. Ibrahim,: An overview of soft computing. Procedia Comput. Sci. 102, 34–38 (2016)
https://doi.org/10.1016/j.procs.2016.09.366
37 S. Yin,, X. Sun,, S. Yu,, J. Seo,: High-throughput in-memory computing for binary deep neural networks with monolithically integrated RRAM and 90-nm CMOS. IEEE Trans. Electron Devices 67(10), 4185–4192 (2020)
https://doi.org/10.1109/TED.2020.3015178
38 S. Yu,, Z. Li,, P.Y. Chen,, H. Wu,, B. Gao,, D. Wang,, W. Wu,, H. Qian: Binary neural network with 16 Mb RRAM macro chip for classification and online training. In: Proceedings of 2016 IEEE International Electron Devices Meeting (IEDM). IEEE, 16.2.1–16.2.4 (2016)
https://doi.org/10.1109/IEDM.2016.7838429
39 C.X. Xue,, Y.C. Chiu,, T.W. Liu,, T.Y. Huang,, J.S. Liu,, T.W. Chang,, H.Y. Kao,, J.H. Wang,, S.Y. Wei,, C.Y. Lee,, S.P. Huang,, J.M. Hung,, S.H. Teng,, W.C. Wei,, Y.R. Chen,, T.H. Hsu,, Y.K. Chen,, Y.C. Lo,, T.H. Wen,, C.C. Lo,, R.S. Liu,, C.C. Hsieh,, K.T. Tang,, M.S. Ho,, C.Y. Su,, C.C. Chou,, Y.D. Chih,, M.F. Chang,: A CMOS-integrated compute-in-memory macro based on resistive random-access memory for AI edge devices. Nat. Electron. 4(1), 81–90 (2021)
https://doi.org/10.1038/s41928-020-00505-5
40 H. Kim,, M.R. Mahmoodi,, H. Nili,, D.B. Strukov,: 4K-memristor analog-grade passive crossbar circuit. Nat. Commun. 12(1), 5198 (2021)
https://doi.org/10.1038/s41467-021-25455-0
41 P. Yao,, H. Wu,, B. Gao,, J. Tang,, Q. Zhang,, W. Zhang,, J.J. Yang,, H. Qian,: Fully hardware-implemented memristor convolutional neural network. Nature 577(7792), 641–646 (2020)
https://doi.org/10.1038/s41586-020-1942-4
42 Z. Wang,, C. Li,, P. Lin,, M. Rao,, Y. Nie,, W. Song,, Q. Qiu,, Y. Li,, P. Yan,, J.P. Strachan,, N. Ge,, N. McDonald,, Q. Wu,, M. Hu,, H. Wu,, R.S. Williams,, Q. Xia,, J.J. Yang,: In situ training of feed-forward and recurrent convolutional memristor networks. Nat. Mach. Intell. 1(9), 434–442 (2019)
https://doi.org/10.1038/s42256-019-0089-1
43 Z. Wang,, C. Li,, W. Song,, M. Rao,, D. Belkin,, Y. Li,, P. Yan,, H. Jiang,, P. Lin,, M. Hu,, J.P. Strachan,, N. Ge,, M. Barnell,, Q. Wu,, A.G. Barto,, Q. Qiu,, R.S. Williams,, Q. Xia,, J.J. Yang,: Reinforcement learning with analogue memristor arrays. Nat. Electron. 2(3), 115–124 (2019)
https://doi.org/10.1038/s41928-019-0221-6
44 C. Li,, Z. Wang,, M. Rao,, D. Belkin,, W. Song,, H. Jiang,, P. Yan,, Y. Li,, P. Lin,, M. Hu,, N. Ge,, J.P. Strachan,, M. Barnell,, Q. Wu,, R.S. Williams,, J.J. Yang,, Q. Xia,: Long short-term memory networks in memristor crossbar arrays. Nat. Mach. Intell. 1(1), 49–57 (2019)
https://doi.org/10.1038/s42256-018-0001-4
45 C. Li,, D. Belkin,, Y. Li,, P. Yan,, M. Hu,, N. Ge,, H. Jiang,, E. Montgomery,, P. Lin,, Z. Wang,, W. Song,, J.P. Strachan,, M. Barnell,, Q. Wu,, R.S. Williams,, J.J. Yang,, Q. Xia,: Efficient and self-adaptive in-situ learning in multilayer memristor neural networks. Nat. Commun. 9(1), 2385 (2018)
https://doi.org/10.1038/s41467-018-04484-2
46 F. Cai,, J.M. Correll,, S.H. Lee,, Y. Lim,, V. Bothra,, Z. Zhang,, M.P. Flynn,, W.D. Lu,: A fully integrated reprogrammable memristor–CMOS system for efficient multiply–accumulate operations. Nat. Electron. 2(7), 290–299 (2019)
https://doi.org/10.1038/s41928-019-0270-x
47 P.M. Sheridan,, F. Cai,, C. Du,, W. Ma,, Z. Zhang,, W.D. Lu,: Sparse coding with memristor networks. Nat. Nanotechnol. 12(8), 784–789 (2017)
https://doi.org/10.1038/nnano.2017.83
48 M.A. Zidan,, Y. Jeong,, J. Lee,, B. Chen,, S. Huang,, M.J. Kushner,, W.D. Lu,: A general memristor-based partial differential equation solver. Nat. Electron. 1(7), 411–420 (2018)
https://doi.org/10.1038/s41928-018-0100-6
49 C. Li,, M. Hu,, Y. Li,, H. Jiang,, N. Ge,, E. Montgomery,, J. Zhang,, W. Song,, N. Dávila,, C.E. Graves,, Z. Li,, J.P. Strachan,, P. Lin,, Z. Wang,, M. Barnell,, Q. Wu,, R.S. Williams,, J.J. Yang,, Q. Xia,: Analogue signal and image processing with large memristor crossbars. Nat. Electron. 1(1), 52–59 (2018)
https://doi.org/10.1038/s41928-017-0002-z
50 Y. LeCun,, Y. Bengio,, G. Hinton,: Deep learning. Nature 521(7553), 436–444 (2015)
https://doi.org/10.1038/nature14539
51 T.N. Sainath,, B. Kingsbury,, G. Saon,, H. Soltau,, A.R. Mohamed,, G. Dahl,, B. Ramabhadran,: Deep convolutional neural networks for large-scale speech tasks. Neural Netw. 64, 39–48 (2015)
https://doi.org/10.1016/j.neunet.2014.08.005
52 A. Krizhevsky,, I. Sutskever,, G.E. Hinton,: Imagenet classification with deep convolutional neural networks. Adv. Neural. Inf. Process. Syst. 25, 1097–1105 (2012)
53 R. Girshick,, J. Donahue,, T. Darrell,, J. Malik,: Rich feature hierarchies for accurate object detection and semantic segmentation. In: Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition. IEEE, 580–587 (2014)
https://doi.org/10.1109/CVPR.2014.81
54 V. Sze,, Y.H. Chen,, T.J. Yang,, J.S. Emer,: Efficient processing of deep neural networks: a tutorial and survey. Proc. IEEE 105(12), 2295–2329 (2017)
https://doi.org/10.1109/JPROC.2017.2761740
55 F.M. Bayat,, M. Prezioso,, B. Chakrabarti,, H. Nili,, I. Kataeva,, D. Strukov,: Implementation of multilayer perceptron network with highly uniform passive memristive crossbar circuits. Nat. Commun. 9(1), 2331 (2018)
https://doi.org/10.1038/s41467-018-04482-4
56 P. Lin,, C. Li,, Z. Wang,, Y. Li,, H. Jiang,, W. Song,, M. Rao,, Y. Zhuo,, N.K. Upadhyay,, M. Barnell,, Q. Wu,, J.J. Yang,, Q. Xia,: Three-dimensional memristor circuits as complex neural networks. Nat. Electron. 3(4), 225–232 (2020)
https://doi.org/10.1038/s41928-020-0397-9
57 T. Li,, Y. Yin,, K. Ma,, S. Zhang,, M. Liu,: Lightweight end-to-end neural network model for automatic heart sound classification. Information (Basel) 12(2), 54 (2021)
https://doi.org/10.3390/info12020054
58 G. Karunaratne,, M. Schmuck,, M. Le Gallo,, G. Cherubini,, L. Benini,, A. Sebastian,, A. Rahimi,: Robust high-dimensional memory-augmented neural networks. Nat. Commun. 12(1), 2468 (2021)
https://doi.org/10.1038/s41467-021-22364-0
59 H. Li,, W.C. Chen,, A. Levy,, C.H. Wang,, H. Wang,, P.H. Chen,, W. Wan,, H.S.P. Wong,, P. Raina,: One-shot learning with memory-augmented neural networks using a 64-kbit, 118 GOPS/W RRAM-based non-volatile associative memory. In: Proceedings of 2021 Symposium on VLSI Technology. IEEE, 1–2 (2021)
60 S. Wu,, G. Li,, F. Chen,, L. Shi,: Training and inference with integers in deep neural networks. arXiv preprint arXiv:180204680 (2018)
61 Q. Zhang,, H. Wu,, P. Yao,, W. Zhang,, B. Gao,, N. Deng,, H. Qian,: Sign backpropagation: an on-chip learning algorithm for analog RRAM neuromorphic computing systems. Neural Netw. 108, 217–223 (2018)
https://doi.org/10.1016/j.neunet.2018.08.012
62 T. Gokmen,, M. Onen,, W. Haensch,: Training deep convolutional neural networks with resistive cross-point devices. Front. Neurosci. 11, 538 (2017)
https://doi.org/10.3389/fnins.2017.00538
63 S. Lim,, J.H. Bae,, J.H. Eum,, S. Lee,, C.H. Kim,, D. Kwon,, B.G. Park,, J.H. Lee,: Adaptive learning rule for hardware-based deep neural networks using electronic synapse devices. Neural Comput. Appl. 31(11), 8101–8116 (2019)
https://doi.org/10.1007/s00521-018-3659-y
64 Y. Geng,, B. Gao,, Q. Zhang,, W. Zhang,, P. Yao,, Y. Xi,, Y. Lin,, J. Chen,, J. Tang,, H. Wu,: An on-chip layer-wise training method for RRAM based computing-in-memory chips. In: Proceedings of 2021 Design, Automation and Test in Europe Conference and Exhibition (DATE). IEEE, 248–251 (2021)
https://doi.org/10.23919/DATE51398.2021.9473931
65 H. Jiang,, S. Huang,, X. Peng,, S. Yu,: MINT: Mixed-precision RRAM-based IN-memory training architecture. In: Proceedings of 2020 IEEE International Symposium on Circuits and Systems (ISCAS). IEEE, 1–5 (2020)
https://doi.org/10.1109/ISCAS45731.2020.9181020
66 D. Negrov,, I. Karandashev,, V. Shakirov,, Y. Matveyev,, W. Dunin- Barkowski,, A. Zenkevich,: An approximate backpropagation learning rule for memristor based neural networks using synaptic plasticity. Neurocomputing 237, 193–199 (2017)
https://doi.org/10.1016/j.neucom.2016.10.061
67 T.P. Lillicrap,, D. Cownden,, D.B. Tweed,, C.J. Akerman,: Random synaptic feedback weights support error backpropagation for deep learning. Nat. Commun. 7(1), 13276 (2016)
https://doi.org/10.1038/ncomms13276
68 Y. Lu,, X. Li,, L. Yan,, T. Zhang,, Y. Yang,, Z. Song,, R. Huang: Accelerated local training of CNNs by optimized direct feedback alignment based on stochasticity of 4 Mb C-doped Ge2Sb2Te5 PCM chip in 40 nm node. In: Proceedings of 2020 IEEE International Electron Devices Meeting (IEDM). IEEE, 36.33.31–36.33.34 (2020)
https://doi.org/10.1109/IEDM13553.2020.9371910
69 Y. Luo,, X. Han,, Z. Ye,, H. Barnaby,, J.S. Seo,, S. Yu,: Arraylevel programming of 3-bit per cell resistive memory and its application for deep neural network inference. IEEE Trans. Electron Devices 67(11), 4621–4625 (2020)
https://doi.org/10.1109/TED.2020.3015940
70 J. Chen,, W.Q. Pan,, Y. Li,, R. Kuang,, Y.H. He,, C.Y. Lin,, N. Duan,, G.R. Feng,, H.X. Zheng,, T.C. Chang,, S.M. Sze,, X.S. Miao,: High-precision symmetric weight update of memristor by gate voltage ramping method for convolutional neural network accelerator. IEEE Electron Device Lett. 41(3), 353–356 (2020)
https://doi.org/10.1109/LED.2020.2968388
71 Y. Cai,, T. Tang,, L. Xia,, B. Li,, Y. Wang,, H. Yang,: Low bitwidth convolutional neural network on RRAM. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(7), 1414–1427 (2020)
https://doi.org/10.1109/TCAD.2019.2917852
72 I. Hubara,, M. Courbariaux,, D. Soudry,, R. El-Yaniv,, Y. Bengio,: Quantized neural networks: training neural networks with low precision weights and activations. J. Mach. Learn. Res. 18(1), 6869–6898 (2017)
73 Y.F. Qin,, R. Kuang,, X.D. Huang,, Y. Li,, J. Chen,, X.S. Miao,: Design of high robustness BNN inference accelerator based on binary memristors. IEEE Trans. Electron Devices 67(8), 3435–3441 (2020)
https://doi.org/10.1109/TED.2020.2998457
74 W.Q. Pan,, J. Chen,, R. Kuang,, Y. Li,, Y.H. He,, G.R. Feng,, N. Duan,, T.C. Chang,, X.S. Miao,: Strategies to improve the accuracy of memristor-based convolutional neural networks. IEEE Trans. Electron Devices 67(3), 895–901 (2020)
https://doi.org/10.1109/TED.2019.2963323
75 Y. Xi,, B. Gao,, J. Tang,, A. Chen,, M.F. Chang,, X.S. Hu,, J.V.D. Spiegel,, H. Qian,, H. Wu,: In-memory learning with analog resistive switching memory: a review and perspective. Proc. IEEE 109(1), 14–42 (2021)
https://doi.org/10.1109/JPROC.2020.3004543
76 S.G. Kim,, J.S. Han,, H. Kim,, S.Y. Kim,, H.W. Jang,: Recent advances in memristive materials for artificial synapses. Adv. Mater. Technol. 3(12), 1800457 (2018)
https://doi.org/10.1002/admt.201800457
77 J. Chen,, C.Y. Lin,, Y. Li,, C. Qin,, K. Lu,, J.M. Wang,, C.K. Chen,, Y.H. He,, T.C. Chang,, S.M. Sze,, X.S. Miao,: LiSiO X-based analog memristive synapse for neuromorphic computing. IEEE Electron Device Lett. 40(4), 542–545 (2019)
https://doi.org/10.1109/LED.2019.2898443
78 S. Yu,: Orientation classification by a winner-take-all network with oxide RRAM based synaptic devices. In: Proceedings of 2014 IEEE International Symposium on Circuits and Systems (ISCAS). IEEE, 1058–1061 (2014)
https://doi.org/10.1109/ISCAS.2014.6865321
79 Y. Jiang,, J. Kang,, X. Wang,: RRAM-based parallel computing architecture using k-nearest neighbor classification for pattern recognition. Sci. Rep. 7(1), 45233 (2017)
https://doi.org/10.1038/srep45233
80 Y. Jeong,, J. Lee,, J. Moon,, J.H. Shin,, W.D. Lu,: K-means data clustering with memristor networks. Nano Lett. 18(7), 4447–4453 (2018)
https://doi.org/10.1021/acs.nanolett.8b01526
81 H. Zhou,, J. Chen,, Y. Wang,, S. Liu,, Y. Li,, Q. Li,, Q. Liu,, Z. Wang,, Y. He,, H. Xu,: Energy-efficient memristive Euclidean distance engine for brain-inspired competitive learning. Adv. Intell. Syst. 3, 2100114 (2021)
https://doi.org/10.1002/aisy.202100114
82 S. Choi,, J.H. Shin,, J. Lee,, P. Sheridan,, W.D. Lu,: Experimental demonstration of feature extraction and dimensionality reduction using memristor networks. Nano Lett. 17(5), 3113–3118 (2017)
https://doi.org/10.1021/acs.nanolett.7b00552
83 H. Zhou,, Y. Li,, X. Miao,: Low-time-complexity document clustering using memristive dot product engine. Science China. Inf. Sci. 65(2), 122410 (2022)
https://doi.org/10.1007/s11432-021-3316-x
84 V. Milo,, F. Anzalone,, C. Zambelli,, E. Pérez,, M.K. Mahadevaiah,, Ó.G. Ossorio,, P. Olivo,, C. Wenger,, D. Ielmini,: Optimized programming algorithms for multilevel RRAM in hardware neural networks. In: Proceedings of 2021 IEEE International Reliability Physics Symposium (IRPS). IEEE, 1–6 (2021)
https://doi.org/10.1109/IRPS46558.2021.9405119
85 Z. Wang,, S. Joshi,, S.E. Savel’ev,, H. Jiang,, R. Midya,, P. Lin,, M. Hu,, N. Ge,, J.P. Strachan,, Z. Li,, Q. Wu,, M. Barnell,, G.L. Li,, H.L. Xin,, R.S. Williams,, Q. Xia,, J.J. Yang,: Memristors with diffusive dynamics as synaptic emulators for neuromorphic computing. Nat. Mater. 16(1), 101–108 (2017)
https://doi.org/10.1038/nmat4756
86 P.Y. Chen,, X. Peng,, S. Yu,: NeuroSim+: an integrated device-to-algorithm framework for benchmarking synaptic devices and array architectures. In: Proceedings of 2017 IEEE International Electron Devices Meeting (IEDM). IEEE, 6.1.1–6.1.4 (2017)
https://doi.org/10.1109/IEDM.2017.8268337
87 J.J. Hopfield,: Neural networks and physical systems with emergent collective computational abilities. Proc. Natl. Acad. Sci. U.S.A. 79(8), 2554–2558 (1982)
https://doi.org/10.1073/pnas.79.8.2554
88 F. Cai,, S. Kumar,, T. Van Vaerenbergh,, X. Sheng,, R. Liu,, C. Li,, Z. Liu,, M. Foltin,, S. Yu,, Q. Xia,, J.J. Yang,, R. Beausoleil,, W.D. Lu,, J.P. Strachan,: Power-efficient combinatorial optimization using intrinsic noise in memristor Hopfield neural networks. Nat. Electron. 3(7), 409–418 (2020)
https://doi.org/10.1038/s41928-020-0436-6
89 K. Yang,, Q. Duan,, Y. Wang,, T. Zhang,, Y. Yang,, R. Huang,: Transiently chaotic simulated annealing based on intrinsic nonlinearity of memristors for efficient solution of optimization problems. Sci Adv 6(33), eaba9901 (2020)
https://doi.org/10.1126/sciadv.aba9901
90 M.R. Mahmoodi,, M. Prezioso,, D.B. Strukov,: Versatile stochastic dot product circuits based on nonvolatile memories for high performance neurocomputing and neurooptimization. Nat. Commun. 10(1), 5113 (2019)
https://doi.org/10.1038/s41467-019-13103-7
91 T. Dalgaty,, N. Castellani,, C. Turck,, K.E. Harabi,, D. Querlioz,, E. Vianello,: In situ learning using intrinsic memristor variability via Markov chain Monte Carlo sampling. Nat. Electron. 4(2), 151–161 (2021)
https://doi.org/10.1038/s41928-020-00523-3
92 L. Chen,, K. Aihara,: Chaotic simulated annealing by a neural network model with transient chaos. Neural Netw. 8(6), 915–930 (1995)
https://doi.org/10.1016/0893-6080(95)00033-V
93 J. Lu,, Z. Wu,, X. Zhang,, J. Wei,, Y. Fang,, T. Shi,, Q. Liu,, F. Wu,, M. Liu,: Quantitatively evaluating the effect of read noise in memristive Hopfield network on solving traveling salesman problem. IEEE Electron Device Lett. 41(11), 1688–1691 (2020)
https://doi.org/10.1109/LED.2020.3021593
94 Z. Fahimi,, M.R. Mahmoodi,, H. Nili,, V. Polishchuk,, D.B. Strukov,: Combinatorial optimization by weight annealing in memristive hopfield networks. Sci. Rep. 11(1), 16383 (2021)
https://doi.org/10.1038/s41598-020-78944-5
95 S.J. Ovaska,, H.F. VanLandingham,, A. Kamiya,: Fusion of soft computing and hard computing in industrial applications: an overview. IEEE Trans. Syst. Man Cybern. Part C Appl. Rev. 32(2), 72–79 (2002)
https://doi.org/10.1109/TSMCC.2002.801354
96 M. Baboulin,, A. Buttari,, J. Dongarra,, J. Kurzak,, J. Langou,, J. Langou,, P. Luszczek,, S. Tomov,: Accelerating scientific computations with mixed precision algorithms. Comput. Phys. Commun. 180(12), 2526–2533 (2009)
https://doi.org/10.1016/j.cpc.2008.11.005
97 Z. Sun,, R. Huang,: Time complexity of in memory matrix vector multiplication. IEEE Trans. Circuits Syst. II Express Briefs 68(8), 2785–2789 (2021)
https://doi.org/10.1109/TCSII.2021.3068764
98 B. Feinberg,, U.K.R. Vengalam,, N. Whitehair,, S. Wang,, E. Ipek,: Enabling scientific computing on memristive accelerators. In: Proceedings of 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA). IEEE, 367–382 (2018)
https://doi.org/10.1109/ISCA.2018.00039
99 M. Le Gallo,, A. Sebastian,, R. Mathis,, M. Manica,, H. Giefers,, T. Tuma,, C. Bekas,, A. Curioni,, E. Eleftheriou,: Mixed-precision in-memory computing. Nat. Electron. 1(4), 246–253 (2018)
https://doi.org/10.1038/s41928-018-0054-8
100 Z. Sun,, G. Pedretti,, E. Ambrosi,, A. Bricalli,, W. Wang,, D. Ielmini,: Solving matrix equations in one step with cross-point resistive arrays. Proc. Natl. Acad. Sci. U.S.A. 116(10), 4123–4128 (2019)
https://doi.org/10.1073/pnas.1815682116
101 T. Song,, X. Chen,, Y. Han,: Eliminating iterations of iterative methods: solving large-scale sparse linear system in O(1) with RRAM-based in-memory accelerator. In: Proceedings of the 2021 on Great Lakes Symposium on VLSI. ACM, 71–76 (2021)
https://doi.org/10.1145/3453688.3461510
102 Y. Feng,, X. Zhan,, J. Chen,: Flash memory based computing-in-memory to solve time-dependent partial differential equations. In: Proceedings of 2020 IEEE Silicon Nanoelectronics Workshop (SNW). IEEE, 27–28 (2020)
https://doi.org/10.1109/SNW50361.2020.9131425
103 V. Kalantzis,, A. Gupta,, L. Horesh,, T. Nowicki,, M. S. Squillante,, C. W. Wu,, T. Gokmen,, H. Avron,: Solving sparse linear systems with approximate inverse preconditioners on analog devices. arXiv preprint arXiv:210706973 (2021)
https://doi.org/10.1109/HPEC49654.2021.9622816
104 Z. Sun,, G. Pedretti,, P. Mannocci,, E. Ambrosi,, A. Bricalli,, D. Ielmini,: Time complexity of in-memory solution of linear systems. IEEE Trans. Electron Devices 67(7), 2945–2951 (2020)
https://doi.org/10.1109/TED.2020.2992435
105 Z. Sun,, G. Pedretti,, E. Ambrosi,, A. Bricalli,, D. Ielmini,: In-memory eigenvector computation in time O(1). Adv. Intell. Syst. 2(8), 2000042 (2020)
https://doi.org/10.1002/aisy.202000042
106 Z. Sun,, E. Ambrosi,, G. Pedretti,, A. Bricalli,, D. Ielmini,: Inmemory PageRank accelerator with a cross-point array of resistive memories. IEEE Trans. Electron Devices 67(4), 1466–1470 (2020)
https://doi.org/10.1109/TED.2020.2966908
107 Z. Sun,, G. Pedretti,, A. Bricalli,, D. Ielmini,: One-step regression and classification with cross-point resistive memory arrays. Sci. Adv. 6(5), eaay2378 (2020)
https://doi.org/10.1126/sciadv.aay2378
108 A. Buluc,, J. R. Gilbert,: Challenges and advances in parallel sparse matrix-matrix multiplication. In: Proceedings of 2008 37th International Conference on Parallel Processing. IEEE, 503–510 (2008)
https://doi.org/10.1109/ICPP.2008.45
109 U. Borštnik,, J. VandeVondele,, V. Weber,, J. Hutter,: Sparse matrix multiplication: the distributed block-compressed sparse row library. Parallel Comput. 40(5–6), 47–58 (2014)
https://doi.org/10.1016/j.parco.2014.03.012
110 I. Pitas,: Digital Image Processing Algorithms and Applications. Wiley, New York (2000)
111 R.G. Baraniuk,: Compressive sensing. IEEE Signal Process. Mag. 24(4), 118–121 (2007)
https://doi.org/10.1109/MSP.2007.4286571
112 M. Le Gallo,, A. Sebastian,, G. Cherubini,, H. Giefers,, E. Eleftheriou,: Compressed sensing recovery using computational memory. In: Proceedings of 2017 IEEE International Electron Devices Meeting (IEDM). IEEE, 28.23.21–28.23.24 (2017)
https://doi.org/10.1109/IEDM.2017.8268469
113 J. Canny,: A computational approach to edge detection. IEEE Trans. Pattern Anal. Mach. Intell. 8(6), 679–698 (1986)
https://doi.org/10.1109/TPAMI.1986.4767851
114 Q. Huo,, R. Song,, D. Lei,, Q. Luo,, Z. Wu,, Z. Wu,, X. Zhao,, F. Zhang,, L. Li,, M. Liu,: Demonstration of 3D convolution kernel function based on 8-layer 3D vertical resistive random access memory. IEEE Electron Device Lett. 41(3), 497–500 (2020)
https://doi.org/10.1109/LED.2020.2970536
115 Y. Halawani,, B. Mohammad,, M. Al-Qutayri,, S.F. Al-Sarawi,: Memristor-based hardware accelerator for image compression. IEEE Trans. VLSI Syst. 26(12), 2749–2758 (2018)
https://doi.org/10.1109/TVLSI.2018.2835572
116 B. Zhang,, N. Uysal,, R. Ewetz,: Computational restructuring: rethinking image processing using memristor crossbar arrays. In: Proceedings of 2020 Design, Automation and Test in Europe Conference and Exhibition (DATE). IEEE, 1594–1597 (2020)
https://doi.org/10.23919/DATE48585.2020.9116255
117 W. Zhang,, B. Gao,, P. Yao,, J. Tang,, H. Qian,, H. Wu,: Array-level boosting method with spatial extended allocation to improve the accuracy of memristor based computing-in-memory chips. Science China. Inf. Sci. 64(6), 1–9 (2021)
https://doi.org/10.1007/s11432-020-3198-9
118 A.V. Oppenheim,, R.W. Schafer,, J.R. Buck,: Discrete-Time Signal Processing. Pearson Education India, New Jersey (1999)
119 S. Liu,, A. Ren,, Y. Wang,, P. K. Varshney,: Ultra-fast robust compressive sensing based on memristor crossbars. In: Proceedings of 2017 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP). IEEE, 1133–1137 (2017)
https://doi.org/10.1109/ICASSP.2017.7952333
120 F. Qian,, Y. Gong,, G. Huang,, K. Ahi,, M. Anwar,, L. Wang,: A memristor-based compressive sensing architecture. In: Proceedings of 2016 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH). IEEE, 109–114 (2016)
121 H. Zhao,, Z. Liu,, J. Tang,, B. Gao,, Y. Zhang,, H. Qian,, H. Wu,: Memristor-based signal processing for edge computing. Tsinghua Sci. Technol. 27(3), 455–471 (2022)
https://doi.org/10.26599/TST.2021.9010043
122 R. Zhu,, Z. Tang,, S. Ye,, Q. Huang,, L. Guo,, S. Chang,: Memristor-based image enhancement: high efficiency and robustness. IEEE Trans. Electron Devices 68(2), 602–609 (2021)
https://doi.org/10.1109/TED.2020.3045684
123 H. Ran,, S. Wen,, S. Wang,, Y. Cao,, P. Zhou,, T. Huang,: Memristor-based edge computing of ShuffleNetV2 for image classification. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 40(8), 1701–1710 (2021)
https://doi.org/10.1109/TCAD.2020.3022970
124 Q. Hong,, Y. Li,, X. Wang,: Memristive continuous Hopfield neural network circuit for image restoration. Neural Comput. Appl. 32(12), 8175–8185 (2020)
https://doi.org/10.1007/s00521-019-04305-7
125 L. Mennel,, J. Symonowicz,, S. Wachter,, D.K. Polyushkin,, A.J. Molina-Mendoza,, T. Mueller,: Ultrafast machine vision with 2D material neural network image sensors. Nature 579(7797), 62–66 (2020)
https://doi.org/10.1038/s41586-020-2038-x
126 F. Zhou,, Z. Zhou,, J. Chen,, T.H. Choy,, J. Wang,, N. Zhang,, Z. Lin,, S. Yu,, J. Kang,, H.P. Wong,, Y. Chai,: Optoelectronic resistive random access memory for neuromorphic vision sensors. Nat. Nanotechnol. 14(8), 776–782 (2019)
https://doi.org/10.1038/s41565-019-0501-3
127 L. Sun,, Y. Zhang,, G. Hwang,, J. Jiang,, D. Kim,, Y.A. Eshete,, R. Zhao,, H. Yang,: Synaptic computation enabled by joule heating of single-layered semiconductors for sound localization. Nano Lett. 18(5), 3229–3234 (2018)
https://doi.org/10.1021/acs.nanolett.8b00994
128 T. Iwata,, K. Ono,, T. Yoshikawa,, K. Sawada,: Gas discrimination based on single-device extraction of transient sensor response by a MetalOxide memristor toward olfactory sensor array. In: Proceedings of 2019 IEEE Sensors. IEEE, 1–4 (2019)
https://doi.org/10.1109/SENSORS43011.2019.8956826
129 F. Zhou,, Y. Chai,: Near-sensor and in-sensor computing. Nat. Electron. 3(11), 664–671 (2020)
https://doi.org/10.1038/s41928-020-00501-9
130 Y. Chai,: In-sensor computing for machine vision. Nature 579, 32–33 (2020)
https://doi.org/10.1038/d41586-020-00592-6
131 L. Tong,, Z. Peng,, R. Lin,, Z. Li,, Y. Wang,, X. Huang,, K.H. Xue,, H. Xu,, F. Liu,, H. Xia,, P. Wang,, M. Xu,, W. Xiong,, W. Hu,, J. Xu,, X. Zhang,, L. Ye,, X. Miao,: 2D materials-based homogeneous transistor-memory architecture for neuromorphic hardware. Science 373(6561), 1353–1358 (2021)
https://doi.org/10.1126/science.abg3161
132 C. Wang,, S.J. Liang,, C.Y. Wang,, Z.Z. Yang,, Y. Ge,, C. Pan,, X. Shen,, W. Wei,, Y. Zhao,, Z. Zhang,, B. Cheng,, C. Zhang,, F. Miao,: Scalable massively parallel computing using continuous-time data representation in nanoscale crossbar array. Nat. Nanotechnol. 16(10), 1079–1085 (2021)
https://doi.org/10.1038/s41565-021-00943-y
133 A. Ankit,, I.E. Hajj,, S.R. Chalamalasetti,, G. Ndu,, M. Foltin,, R. S. Williams,, P. Faraboschi,, W. W. Hwu,, J.P. Strachan,, K. Roy,: PUMA: a programmable ultra-efficient memristor-based accelerator for machine learning inference. In: Proceedings of 24th International Conference on Architectural Support for Programming Languages and Operating Systems. ACM, 715–731 (2019)
https://doi.org/10.1145/3297858.3304049
134 D.V. Christensen,, R. Dittmann,, B. Linares-Barranco,, A. Sebastian,, M. L. Gallo,, A. Redaelli,, S. Slesazeck,, T. Mikolajick,, S. Spiga,, S. Menzel,: 2021 roadmap on neuromorphic computing and engineering. arXiv preprint arXiv: 210505956 (2021)
135 N.K. Upadhyay,, H. Jiang,, Z. Wang,, S. Asapu,, Q. Xia,, Y.J. Joshua,: Emerging memory devices for neuromorphic computing. Adv. Mater. Technol. 4(4), 1800589 (2019)
https://doi.org/10.1002/admt.201800589
136 C. Sung,, H. Hwang,, I.K. Yoo,: Perspective: a review on memristive hardware for neuromorphic computation. J. Appl. Phys. 124(15), 151903 (2018)
https://doi.org/10.1063/1.5037835
137 W. Zhang,, B. Gao,, J. Tang,, P. Yao,, S. Yu,, M.F. Chang,, H.J. Yoo,, H. Qian,, H. Wu,: Neuro-inspired computing chips. Nat. Electron. 3(7), 371–382 (2020)
https://doi.org/10.1038/s41928-020-0435-7
138 Y. Zhou,, N. Xu,, B. Gao,, F. Zhuge,, Z. Tang,, X. Deng,, Y. Li,, Y. He,, X. Miao,: Complementary memtransistor-based multilayer neural networks for online supervised learning through (anti-) spike-timing-dependent plasticity. IEEE Trans. Neural Netw. Learn. Syst. (2021)
https://doi.org/10.1109/TNNLS.2021.3082911
139 G. Pedretti,, V. Milo,, S. Ambrogio,, R. Carboni,, S. Bianchi,, A. Calderoni,, N. Ramaswamy,, A.S. Spinelli,, D. Ielmini,: Memristive neural network for on-line learning and tracking with brain-inspired spike timing dependent plasticity. Sci. Rep. 7(1), 5288 (2017)
https://doi.org/10.1038/s41598-017-05480-0
140 Y.F. Lu,, Y. Li,, H. Li,, T.Q. Wan,, X. Huang,, Y.H. He,, X. Miao,: Low-power artificial neurons based on Ag/TiN/HfAlOx/Pt threshold switching memristor for neuromorphic computing. IEEE Electron Device Lett. 41(8), 1245–1248 (2020)
https://doi.org/10.1109/LED.2020.3006581
141 T.Q. Wan,, Y.F. Lu,, J.H. Yuan,, H.Y. Li,, Y. Li,, X.D. Huang,, K.H. Xue,, X.S. Miao,: 12.7 mA/cm2 on-current density and high uniformity realized in AgGeSe/Al2O3 selectors. IEEE Electron Device Lett. 42(4), 613–616 (2021)
https://doi.org/10.1109/LED.2021.3061620
142 X. Li,, J. Tang,, Q. Zhang,, B. Gao,, J.J. Yang,, S. Song,, W. Wu,, W. Zhang,, P. Yao,, N. Deng,, L. Deng,, Y. Xie,, H. Qian,, H. Wu,: Power-efficient neural network with artificial dendrites. Nat. Nanotechnol. 15(9), 776–782 (2020)
https://doi.org/10.1038/s41565-020-0722-5
143 Y. He,, S. Jiang,, C. Chen,, C. Wan,, Y. Shi,, Q. Wan,: Electrolyte- gated neuromorphic transistors for brain-like dynamic computing. J. Appl. Phys. 130(19), 190904 (2021)
https://doi.org/10.1063/5.0069456
144 K. Roy,, A. Jaiswal,, P. Panda,: Towards spike-based machine intelligence with neuromorphic computing. Nature 575(7784), 607–617 (2019)
https://doi.org/10.1038/s41586-019-1677-2
145 I. Chakraborty,, A. Jaiswal,, A. Saha,, S. Gupta,, K. Roy,: Pathways to efficient neuromorphic computing with non-volatile memory technologies. Appl. Phys. Rev. 7(2), 021308 (2020)
https://doi.org/10.1063/1.5113536
[1] Yongfeng FU, Jing CHEN, Weiming WU, Yu HUANG, Jie HONG, Long CHEN, Zhongbin LI. A QoT prediction technique based on machine learning and NLSE for QoS and new lightpaths in optical communication networks[J]. Front. Optoelectron., 2021, 14(4): 513-521.
Viewed
Full text


Abstract

Cited

  Shared   
  Discussed